Skip to content
Snippets Groups Projects

WIP: codegen: add B-ASIC commit hash in VHDL preamble

Open Mikael Henriksson requested to merge codegen-commit-hash into master

This adds the (short) Git commit ID of HEAD to the VHDL codegen preamble. Useful when debugging generated code.

Ex:

--
-- This code was automatically generated by the B-ASIC toolbox.
-- Code generation timestamp: (2023-03-15 14:47:44.405842)
-- B-ASIC short commit hash: fa55492
-- URL: https://gitlab.liu.se/da/B-ASIC
--

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
Edited by Mikael Henriksson

Merge request reports

Requires 1 approval from eligible users and Protect master.
Merge blocked: 3 checks failed
All required approvals must be given.
Merge conflicts must be resolved.
Merge request must be rebased, because a fast-forward merge is not possible.

Merge details

  • The source branch is 276 commits behind the target branch.
  • 1 commit will be added to master.
  • Source branch will be deleted.

Activity

Filter activity
  • Approvals
  • Assignees & reviewers
  • Comments (from bots)
  • Comments (from users)
  • Commits & branches
  • Edits
  • Labels
  • Lock status
  • Mentions
  • Merge request status
  • Tracking
  • Mikael Henriksson changed the description

    changed the description

  • Author Maintainer

    I just realized that this does not work when your working directory is outside of the B-ASIC git project. Might need some tweaking here. Anyway, it's worth investigating how to to this properly since such a feature would be good to have.

  • Mikael Henriksson changed title from codegen: add B-ASIC commit hash in VHDL preamble to WIP: codegen: add B-ASIC commit hash in VHDL preamble

    changed title from codegen: add B-ASIC commit hash in VHDL preamble to WIP: codegen: add B-ASIC commit hash in VHDL preamble

  • Is this still needed or did it get in through another MR?

  • Author Maintainer

    I would say that a feature like this would be really good for the code generation stuff. I believe this particular commit snuck in elsewhere, as I needed it to keep track of source code versions for FPL. I'll see if I can make a better version of this later today or this weekend, as I think it is important. In the meantime, I would say to leave this PR be.

Please register or sign in to reply
Loading